Design Compiler總結(jié)(Synopsys)

2018-08-12

一统捶、作用:RTL ------- > 優(yōu)化過(guò)的netlist(logic Circuit)吃靠。
二、synthesis flow:
綜合過(guò)程.png
三活烙、synthesis = translation + logic optimization + gate mapping
  • Translation:將RTL代碼轉(zhuǎn)化成GTECH庫(kù)元件組成的邏輯電路拣凹。
  • gate mapping:將GTECH庫(kù)元件組成的邏輯圖轉(zhuǎn)換到目標(biāo)工藝庫(kù)上稿茉,形成.dcc文件颅夺。
  • logic optimization:根據(jù)所需時(shí)延宠漩、功耗、面積等約束條件進(jìn)行優(yōu)化仗谆。
四指巡、lab流程--兩種工作模式:腳本和圖形化界面
一淑履、腳本內(nèi)容設(shè)置:dc.tcl
1.設(shè)置庫(kù)鏈接:
 set search_path  {/home/eda/eda/synopsys/dc/dc_2012/libraries/syn}
 set target_library {class.db}     //供應(yīng)商提供目標(biāo)庫(kù)
 set link_library  {* class.db}    //指定壓焊塊工藝庫(kù)名稱和所有其他的宏單元,RAM隶垮、ROM等
 set symbol_library {class.sdb}    //包含工藝庫(kù)中的單元圖形表示的庫(kù)名稱
2.讀入.v文件
 read_file -format verilog {/home/eda/Desktop/test/sync_FIFO.v}  
3.設(shè)置約束條件
 set_max_area 0  //讓綜合后的面積越小越好
 set_max_fanout 4 sync_FIFO   // 約束cell的最大扇出,扇出過(guò)大會(huì)使cell delay變慢
 set_max_transition 0.5 sync_FIFO  
 compile -exact_map //綜合優(yōu)化
4.保存db和netlist:
 sdc /home/eda/Desktop/test/sync_FIFO.sdc  //保存.db文件
 write -format verilog -hierarchy -output /home/eda/Desktop/test/netlist.v
 write_sdc /home/eda/Desktop/test/sync_FIFO.sdc //保存時(shí)序約束文件(.sdc文件)
 write_sdf /home/eda/Desktop/test/sync_FIFO.sdf  //保存標(biāo)準(zhǔn)延時(shí)文件(.sdf文件)
5.report PPA:
 report_area > /home/eda/Desktop/test/area.area_rpt
 report_constraint -all_violators > /home/eda/Desktop/test/cons.constraint_rpt
 report_timing > /home/eda/Desktop/test/timing.timing_rpt
6.運(yùn)行腳本:
  dc_shell  //啟動(dòng)DC
  source dc.tcl  //運(yùn)行腳本
命令行操作.png
二、圖形化界面操作:
1.進(jìn)入gui界面
 dc_shell -gui  //圖形化界面打開(kāi)窗口
圖形化界面操作.png
2.設(shè)置庫(kù)--打開(kāi)File菜單欄下的Setup進(jìn)行設(shè)置
設(shè)置庫(kù).png
3.讀RTL文件
read RTL文件.png
log窗口提示加載成功.png
4.加載符號(hào)圖形
加載符號(hào)圖形.png
符號(hào)圖.png
5.設(shè)置約束條件 --此處可命令操作也可圖形操作
 create_clock -name "clock" -period 20 -waveform { 010 } { clk_i } //時(shí)鐘約束條件
set_dont_touch_network { rst_i }  //復(fù)位
 set_input_delay 12 -clock clock {we_i rd_i data_i}  //we_i秘噪, rd_i狸吞, data_i
 set_output_delay 12 -clock clock { empty_o full_o data_o}  //full_o等
 set_max_area 0
 set_max_fanout 4 sync_FIFO
 set_max_transition 0.5 sync_FIFO 
6.優(yōu)化綜合
優(yōu)化綜合.png
7.查看報(bào)告
查看報(bào)告.png
五、report分析
  • vi編輯器進(jìn)入生成的netlist
    netlist.png
  • 最后進(jìn)行時(shí)序分析+面積報(bào)告分析
end
最后編輯于
?著作權(quán)歸作者所有,轉(zhuǎn)載或內(nèi)容合作請(qǐng)聯(lián)系作者
  • 序言:七十年代末指煎,一起剝皮案震驚了整個(gè)濱河市蹋偏,隨后出現(xiàn)的幾起案子,更是在濱河造成了極大的恐慌至壤,老刑警劉巖威始,帶你破解...
    沈念sama閱讀 210,914評(píng)論 6 490
  • 序言:濱河連續(xù)發(fā)生了三起死亡事件,死亡現(xiàn)場(chǎng)離奇詭異像街,居然都是意外死亡黎棠,警方通過(guò)查閱死者的電腦和手機(jī)晋渺,發(fā)現(xiàn)死者居然都...
    沈念sama閱讀 89,935評(píng)論 2 383
  • 文/潘曉璐 我一進(jìn)店門,熙熙樓的掌柜王于貴愁眉苦臉地迎上來(lái)脓斩,“玉大人木西,你說(shuō)我怎么就攤上這事∷婢玻” “怎么了八千?”我有些...
    開(kāi)封第一講書人閱讀 156,531評(píng)論 0 345
  • 文/不壞的土叔 我叫張陵,是天一觀的道長(zhǎng)燎猛。 經(jīng)常有香客問(wèn)我恋捆,道長(zhǎng),這世上最難降的妖魔是什么扛门? 我笑而不...
    開(kāi)封第一講書人閱讀 56,309評(píng)論 1 282
  • 正文 為了忘掉前任鸠信,我火速辦了婚禮,結(jié)果婚禮上论寨,老公的妹妹穿的比我還像新娘星立。我一直安慰自己,他們只是感情好葬凳,可當(dāng)我...
    茶點(diǎn)故事閱讀 65,381評(píng)論 5 384
  • 文/花漫 我一把揭開(kāi)白布绰垂。 她就那樣靜靜地躺著,像睡著了一般火焰。 火紅的嫁衣襯著肌膚如雪劲装。 梳的紋絲不亂的頭發(fā)上,一...
    開(kāi)封第一講書人閱讀 49,730評(píng)論 1 289
  • 那天昌简,我揣著相機(jī)與錄音占业,去河邊找鬼。 笑死纯赎,一個(gè)胖子當(dāng)著我的面吹牛谦疾,可吹牛的內(nèi)容都是我干的。 我是一名探鬼主播犬金,決...
    沈念sama閱讀 38,882評(píng)論 3 404
  • 文/蒼蘭香墨 我猛地睜開(kāi)眼念恍,長(zhǎng)吁一口氣:“原來(lái)是場(chǎng)噩夢(mèng)啊……” “哼!你這毒婦竟也來(lái)了晚顷?” 一聲冷哼從身側(cè)響起峰伙,我...
    開(kāi)封第一講書人閱讀 37,643評(píng)論 0 266
  • 序言:老撾萬(wàn)榮一對(duì)情侶失蹤,失蹤者是張志新(化名)和其女友劉穎该默,沒(méi)想到半個(gè)月后瞳氓,有當(dāng)?shù)厝嗽跇?shù)林里發(fā)現(xiàn)了一具尸體,經(jīng)...
    沈念sama閱讀 44,095評(píng)論 1 303
  • 正文 獨(dú)居荒郊野嶺守林人離奇死亡栓袖,尸身上長(zhǎng)有42處帶血的膿包…… 初始之章·張勛 以下內(nèi)容為張勛視角 年9月15日...
    茶點(diǎn)故事閱讀 36,448評(píng)論 2 325
  • 正文 我和宋清朗相戀三年匣摘,在試婚紗的時(shí)候發(fā)現(xiàn)自己被綠了锅锨。 大學(xué)時(shí)的朋友給我發(fā)了我未婚夫和他白月光在一起吃飯的照片。...
    茶點(diǎn)故事閱讀 38,566評(píng)論 1 339
  • 序言:一個(gè)原本活蹦亂跳的男人離奇死亡恋沃,死狀恐怖必搞,靈堂內(nèi)的尸體忽然破棺而出,到底是詐尸還是另有隱情囊咏,我是刑警寧澤恕洲,帶...
    沈念sama閱讀 34,253評(píng)論 4 328
  • 正文 年R本政府宣布,位于F島的核電站梅割,受9級(jí)特大地震影響霜第,放射性物質(zhì)發(fā)生泄漏。R本人自食惡果不足惜户辞,卻給世界環(huán)境...
    茶點(diǎn)故事閱讀 39,829評(píng)論 3 312
  • 文/蒙蒙 一泌类、第九天 我趴在偏房一處隱蔽的房頂上張望。 院中可真熱鬧底燎,春花似錦刃榨、人聲如沸。這莊子的主人今日做“春日...
    開(kāi)封第一講書人閱讀 30,715評(píng)論 0 21
  • 文/蒼蘭香墨 我抬頭看了看天上的太陽(yáng)。三九已至朱沃,卻和暖如春苞轿,著一層夾襖步出監(jiān)牢的瞬間,已是汗流浹背逗物。 一陣腳步聲響...
    開(kāi)封第一講書人閱讀 31,945評(píng)論 1 264
  • 我被黑心中介騙來(lái)泰國(guó)打工搬卒, 沒(méi)想到剛下飛機(jī)就差點(diǎn)兒被人妖公主榨干…… 1. 我叫王不留,地道東北人翎卓。 一個(gè)月前我還...
    沈念sama閱讀 46,248評(píng)論 2 360
  • 正文 我出身青樓契邀,卻偏偏與公主長(zhǎng)得像,于是被迫代替她去往敵國(guó)和親莲祸。 傳聞我的和親對(duì)象是個(gè)殘疾皇子蹂安,可洞房花燭夜當(dāng)晚...
    茶點(diǎn)故事閱讀 43,440評(píng)論 2 348

推薦閱讀更多精彩內(nèi)容

  • Android 自定義View的各種姿勢(shì)1 Activity的顯示之ViewRootImpl詳解 Activity...
    passiontim閱讀 171,732評(píng)論 25 707
  • 網(wǎng)站優(yōu)化離不開(kāi)前后端的互相協(xié)作椭迎,但是對(duì)于前端工程師來(lái)說(shuō)锐帜,在保證后端技術(shù)方案不變時(shí),能不能只利用前端技術(shù)來(lái)優(yōu)化網(wǎng)站呢...
    留七七閱讀 6,308評(píng)論 0 31
  • Canvas類里面有一個(gè)方法為canvas.drawPath方法畜号,這個(gè)方法就是用來(lái)繪制我們的自定義Path對(duì)象缴阎。P...
    我叫謙大寶閱讀 554評(píng)論 0 1
  • 題記:無(wú)論終身學(xué)習(xí)蛮拔,還是終身實(shí)踐述暂,都需要不斷的超越自己,每天進(jìn)步一點(diǎn)點(diǎn)建炫。 現(xiàn)實(shí)生活中畦韭,不知您是否遭遇過(guò)多任務(wù)來(lái)臨時(shí)...
    峰回右轉(zhuǎn)閱讀 524評(píng)論 0 2
  • 班主任兵法
    李筱諾閱讀 70評(píng)論 0 0