Quartus18 Modelsim-Altera 仿真

Quartus Prime 中集成了Modelsim,可以調(diào)用Modelsim對(duì)頂層文件進(jìn)行仿真崭孤。

1曲楚、初次使用的話裂七,設(shè)置Modelsim-Altera的安裝路徑:

Tools--Options


image.png

General--EDA Tool Options,設(shè)置仿真工具的目錄家破。右側(cè)窗口選擇你電腦Quartus安裝目錄中Modelsim-altera的位置颜说,示例如圖


a11 Modelsim-Altera.png

2购岗、打開或者新建工程,將當(dāng)前工程的仿真工具設(shè)為ModelSim-Altera

這里以一個(gè)譯碼器的工程為例:

module decode7(keys,segment);
input [3:0] keys;
output [7:0]segment;
reg [7:0] segment;

always @(*)
begin
    case(keys)
    4'd0:segment = 8'hC0;
    4'd1:segment = 8'hF9;
    4'd2:segment = 8'hA4;
    4'd3:segment = 8'hB0;
    4'd4:segment = 8'h99;
    4'd5:segment = 8'h92;
    4'd6:segment = 8'h82;
    4'd7:segment = 8'hF8;
    4'd8:segment = 8'h80;
    4'd9:segment = 8'h90;
    default:segment = 8'hFF;
    endcase 
end
endmodule

工程設(shè)計(jì)完成后门粪,設(shè)置Assignments -- Settings喊积,彈出的對(duì)話框中,左側(cè)選擇EDA Tool Setting -- Simulation庄拇,右側(cè)的第一個(gè)下拉列表選擇ModelSim-Altera注服,示例如圖。


a12 simulation setting.png

3措近、生成測(cè)試文件.vt

先對(duì)工程執(zhí)行分析綜合: Analysis & Synthesis
然后生成測(cè)試文件模板溶弟,操作如下。


image.png

生成的.vt文件位于當(dāng)前工程目錄中..\simulation\modelsim\ 文件夾內(nèi)瞭郑。
生成的 .vt只是一個(gè)模板辜御,并不完整,需要補(bǔ)充屈张。用Quartus打開這個(gè).vt 測(cè)試文件:


打開 .vt 測(cè)試文件

編輯需要的測(cè)試激勵(lì)后擒权,保存。

`timescale 1 ns/ 1 ps
module decode7_vlg_tst();
reg [3:0] keys;
wire [7:0]  segment;
                        
decode7 i1 (  
    .keys(keys),
    .segment(segment)
);
initial                                                
begin                                                  
   keys = 4'd0;#50;
   keys = 4'd1;#50;
   keys = 4'd2;#50; 
end                                      
endmodule

拷貝下vt文件中的頂層模塊名阁谆,decode7_vlg_tst

4碳抄、將激勵(lì)文件添加到仿真接口

Assignments -- Settings,彈出的對(duì)話框中场绿,左側(cè)選擇EDA Tool Setting -- Simulation剖效,右側(cè)的第一個(gè)下拉列表選擇ModelSim-Altera,下面添加Test Benches焰盗,流程示例如圖璧尸。


設(shè)置Test Benches

image.png

第一個(gè)Test Bench name給當(dāng)前的仿真起個(gè)名字,可以粘貼剛才的 .vt 里 decode7_vlg_tst
第二個(gè)Top level module ....粘貼測(cè)試文件.vt 里頂層module的名字 decode7_vlg_tst
點(diǎn)擊Add后熬拒,點(diǎn)擊OK--OK---OK........

5爷光、功能仿真

Tools -- Run ---RTL Simulation(寄存器傳輸級(jí)仿真,與功能仿真相同)澎粟,等一會(huì)兒蛀序,大約幾十秒,彈出modelsim仿真窗口和結(jié)果


RTL Simulation

數(shù)碼管顯示譯碼器--功能仿真結(jié)果

6捌议、時(shí)序仿真

運(yùn)行完功能仿真后哼拔,如果想觀察芯片實(shí)際延時(shí)信息,可以做時(shí)序仿真瓣颅。
將仿真設(shè)置里的 More EDA Netlist Writer Setting ---- Generate funtional simulation netlist, 改成 Off
需要確保設(shè)置過(guò)芯片型號(hào)倦逐、引腳分配,然后完整編譯。
然后運(yùn)行時(shí)序仿真:Tools -- Run ---Gate level Simulation檬姥。彈出一個(gè)仿真模型曾我,選一個(gè)即可。


選擇時(shí)序仿真模型

image.png

常見(jiàn)錯(cuò)誤:
1健民、功能仿真時(shí)抒巢,輸出無(wú)信號(hào),波形顯示紅色直線秉犹。
原因:可能是由于設(shè)計(jì)文件中的寄存器沒(méi)有初始值蛉谜,而電路基于該寄存器進(jìn)行了取值、判斷等操作崇堵,導(dǎo)致獲取不到寄存器的值型诚。
解決辦法:為電路添加復(fù)位信號(hào),在復(fù)位信號(hào)有效時(shí)給寄存器賦初值鸳劳。
說(shuō)明:這是仿真器的問(wèn)題狰贯。在實(shí)際電路中,芯片上電后赏廓,寄存器內(nèi)必然有值涵紊。
2幔摸、error deleting "msim_transcript": permission denied.


error deleting "msim_transcript"

原因:Modelsim已經(jīng)打開了摸柄,不能進(jìn)行新的仿真。
解決辦法:關(guān)閉已經(jīng)打開的Modelsim既忆,重新啟動(dòng)仿真塘幅。
3、其他錯(cuò)誤解決辦法
測(cè)試文件如果有語(yǔ)法錯(cuò)誤的話尿贫,會(huì)在modelsim的輸出窗口以紅色字體給予提示。


Modelsim error.png
最后編輯于
?著作權(quán)歸作者所有,轉(zhuǎn)載或內(nèi)容合作請(qǐng)聯(lián)系作者
  • 序言:七十年代末踏揣,一起剝皮案震驚了整個(gè)濱河市庆亡,隨后出現(xiàn)的幾起案子,更是在濱河造成了極大的恐慌捞稿,老刑警劉巖又谋,帶你破解...
    沈念sama閱讀 218,755評(píng)論 6 507
  • 序言:濱河連續(xù)發(fā)生了三起死亡事件,死亡現(xiàn)場(chǎng)離奇詭異娱局,居然都是意外死亡彰亥,警方通過(guò)查閱死者的電腦和手機(jī),發(fā)現(xiàn)死者居然都...
    沈念sama閱讀 93,305評(píng)論 3 395
  • 文/潘曉璐 我一進(jìn)店門衰齐,熙熙樓的掌柜王于貴愁眉苦臉地迎上來(lái)任斋,“玉大人,你說(shuō)我怎么就攤上這事耻涛》峡幔” “怎么了瘟檩?”我有些...
    開封第一講書人閱讀 165,138評(píng)論 0 355
  • 文/不壞的土叔 我叫張陵,是天一觀的道長(zhǎng)澈蟆。 經(jīng)常有香客問(wèn)我墨辛,道長(zhǎng),這世上最難降的妖魔是什么趴俘? 我笑而不...
    開封第一講書人閱讀 58,791評(píng)論 1 295
  • 正文 為了忘掉前任睹簇,我火速辦了婚禮,結(jié)果婚禮上寥闪,老公的妹妹穿的比我還像新娘太惠。我一直安慰自己,他們只是感情好橙垢,可當(dāng)我...
    茶點(diǎn)故事閱讀 67,794評(píng)論 6 392
  • 文/花漫 我一把揭開白布垛叨。 她就那樣靜靜地躺著,像睡著了一般柜某。 火紅的嫁衣襯著肌膚如雪嗽元。 梳的紋絲不亂的頭發(fā)上,一...
    開封第一講書人閱讀 51,631評(píng)論 1 305
  • 那天喂击,我揣著相機(jī)與錄音剂癌,去河邊找鬼。 笑死翰绊,一個(gè)胖子當(dāng)著我的面吹牛佩谷,可吹牛的內(nèi)容都是我干的。 我是一名探鬼主播监嗜,決...
    沈念sama閱讀 40,362評(píng)論 3 418
  • 文/蒼蘭香墨 我猛地睜開眼谐檀,長(zhǎng)吁一口氣:“原來(lái)是場(chǎng)噩夢(mèng)啊……” “哼!你這毒婦竟也來(lái)了裁奇?” 一聲冷哼從身側(cè)響起桐猬,我...
    開封第一講書人閱讀 39,264評(píng)論 0 276
  • 序言:老撾萬(wàn)榮一對(duì)情侶失蹤,失蹤者是張志新(化名)和其女友劉穎刽肠,沒(méi)想到半個(gè)月后溃肪,有當(dāng)?shù)厝嗽跇淞掷锇l(fā)現(xiàn)了一具尸體,經(jīng)...
    沈念sama閱讀 45,724評(píng)論 1 315
  • 正文 獨(dú)居荒郊野嶺守林人離奇死亡音五,尸身上長(zhǎng)有42處帶血的膿包…… 初始之章·張勛 以下內(nèi)容為張勛視角 年9月15日...
    茶點(diǎn)故事閱讀 37,900評(píng)論 3 336
  • 正文 我和宋清朗相戀三年惫撰,在試婚紗的時(shí)候發(fā)現(xiàn)自己被綠了。 大學(xué)時(shí)的朋友給我發(fā)了我未婚夫和他白月光在一起吃飯的照片躺涝。...
    茶點(diǎn)故事閱讀 40,040評(píng)論 1 350
  • 序言:一個(gè)原本活蹦亂跳的男人離奇死亡厨钻,死狀恐怖,靈堂內(nèi)的尸體忽然破棺而出,到底是詐尸還是另有隱情莉撇,我是刑警寧澤呢蛤,帶...
    沈念sama閱讀 35,742評(píng)論 5 346
  • 正文 年R本政府宣布,位于F島的核電站棍郎,受9級(jí)特大地震影響其障,放射性物質(zhì)發(fā)生泄漏。R本人自食惡果不足惜涂佃,卻給世界環(huán)境...
    茶點(diǎn)故事閱讀 41,364評(píng)論 3 330
  • 文/蒙蒙 一励翼、第九天 我趴在偏房一處隱蔽的房頂上張望。 院中可真熱鬧辜荠,春花似錦汽抚、人聲如沸。這莊子的主人今日做“春日...
    開封第一講書人閱讀 31,944評(píng)論 0 22
  • 文/蒼蘭香墨 我抬頭看了看天上的太陽(yáng)。三九已至午笛,卻和暖如春惭蟋,著一層夾襖步出監(jiān)牢的瞬間,已是汗流浹背药磺。 一陣腳步聲響...
    開封第一講書人閱讀 33,060評(píng)論 1 270
  • 我被黑心中介騙來(lái)泰國(guó)打工告组, 沒(méi)想到剛下飛機(jī)就差點(diǎn)兒被人妖公主榨干…… 1. 我叫王不留,地道東北人癌佩。 一個(gè)月前我還...
    沈念sama閱讀 48,247評(píng)論 3 371
  • 正文 我出身青樓木缝,卻偏偏與公主長(zhǎng)得像,于是被迫代替她去往敵國(guó)和親围辙。 傳聞我的和親對(duì)象是個(gè)殘疾皇子我碟,可洞房花燭夜當(dāng)晚...
    茶點(diǎn)故事閱讀 44,979評(píng)論 2 355

推薦閱讀更多精彩內(nèi)容